All Node List by Editor

winniewei的头像
winniewei

据报道,英特尔周一宣布,该公司的工厂将开始生产高通芯片,并且宣布了在2025年之前扩大代工业务,以追赶台积电和三星等竞争对手的计划。英特尔称,亚马逊将成为其芯片代工业务的另一个新客户。几十年来,英特尔一直在生产最小、最快的计算芯片方面拥有领先技术。

但该公司的领先优势已经被台积电和三星抢走,后者的代工服务帮助英特尔的竞争对手AMD和英伟达生产了性能超越更强的芯片。AMD和英伟达会自主设计芯片,然后交给芯片代工企业生产。

英特尔周一称,该公司将在2025年之前重新夺回领先优势,并阐述了今后4年将会推出的5组芯片制造技术。

其中最先进的将会使用英特尔几十年来的首款晶体管设计,这种微型开关可以将各种信号翻译成1和0。最早从2025年起,该公司还将使用荷兰ASML的新一代极紫外光刻设备,这种技术将把芯片设计投射到硅片上,有点像是打印老式照片一样。

“我们向华尔街阐述了许多细节,以此增强自身的责任感。”英特尔CEO帕特·基尔辛格(Pat Gelsinger)说。

英特尔还表示,该公司将会改变芯片技术的命名机制,使用类似于“英特尔7”这样的名称来匹配台积电和三星的类似技术。

在“越小越好”的芯片行业,英特尔之前的名称是以“纳米”为单位的暗指功能大小。但独立半导体预测公司VLSIresearch的CEO丹·哈奇森(Dan Hutcheson)表示,随着时间的推移,芯片制造商使用的名称变成了随心所欲的标记。

高通和亚马逊将成为英特尔的首批大客户。高通在手机芯片市场占据主导,该公司将使用英特尔的20A芯片制造工艺,并借助新的晶体管技术来降低芯片能耗。

亚马逊近年来正在为其AWS云计算服务加大力度生产自有数据中心芯片,该公司尚未使用英特尔的芯片制造技术,但却会使用英特尔的封装技术,也就是组装芯片的过程,通常会将它们以所谓的3D模式堆叠起来。分析师表示,英特尔在封装技术上表现出色。

基尔辛格说:“我们已经跟这两大客户和其他客户展开了许多小时的深度技术交流。”

英特尔并未披露通过这些客户获得的收入或产量,但基尔辛格在新闻发布会上表示,高通的交易涉及一个“重要移动平台”,并将以与之展开深度战略合作。高通历来会使用多个代工伙伴为其生产芯片,有时甚至会在同一款芯片上采用这种模式。

英特尔面临的最大问题是,在前CEO科再奇(Brian Krzanich)的领导下经历多年的推迟后,该公司能否实现各种技术承诺。英特尔最近几周宣布推迟新款数据中心芯片Sapphire Rapids的发布。

但Real World Technologies分析师大卫·坎特(David Kanter)表示,英特尔比以前更加谨慎。之前之所以屡屡推迟新品发布,部分原因源自英特尔企图在一代技术中解决多个问题的“傲慢”心理。

这一次,英特尔计划在4年内推出5代技术,逐步解决一系列更小的问题。他们还表示,如果没有做好充分准备,可能就不会在即将推出的“英特尔18A”工艺中引入新的极紫外光刻技术。

“在未来几年跟台积电竞争时,英特尔肯定会奋起直追,并在某些方面领先。”坎特说,“英特尔人才充裕,他们会花时间研究如何使用新材料和新技术来提升产品性能。”

来源:新浪科技

围观 53
评论 0
路径: /content/2021/100114802.html
链接: 视图
角色: editor
winniewei的头像
winniewei

随着苹果公司以其广泛的iPad型号继续主导平板电脑领域,其在平板电脑芯片组类别中的市场份额也有所增加。根据最新数据,该技术巨头在2021年第一季度获得了59%的市场份额。平板电脑处理器芯片市场在同一季度整体增长了33%。

根据Strategy Analytics的数据,苹果、英特尔、高通、联发科和三星LSI占据了2021年第一季度平板电脑芯片组市场的前五位。然而,苹果仍然是这一领域无可争议的王者,主要得益于它每年销售的iPad出货数量。事实上,该报告的作者、Strategy Analytics手机组件技术服务副总监Sravan Kundojjala评论说,苹果的平板电脑芯片组收入分享增长高达60%。

"Strategy Analytics估计,苹果在2021年第一季度将其平板电脑AP收入份额提高到59%,这是该公司在过去九年中的最高份额。在新的基于5纳米的A14 Bionic和Apple Silicon M1的推动下,苹果的平板电脑相关收入在2021年第一季度同比增长60%,大流行病驱动的需求也起到了作用。"

A14 Bionic首次出现在苹果的iPad Air 4中,而M1则出现在11英寸和12.9英寸的iPad Pro型号中。这两款芯片组根据其定价档次均表现良好,iPadOS 15中引入的较新功能应使用户在从笔记本电脑向平板电脑迁移时更加简单,尽管仍有一些限制,这是因为如果苹果引入更多功能,可能会令MacBook的销售受到影响。

苹果可以毫不费力地扩大其在平板电脑芯片组市场份额的领先优势,因为据传它将在今年晚些时候推出配备A15 Bionic的iPad mini 6,据说在即将推出的iPhone 13系列中也会有同样的SoC。即使是高通公司,一家在智能手机芯片组和基带市场上独占鳌头的公司,在2021年第一季度的平板市场也仅有10%的市场份额。

不幸的是,像三星这样的许多高端智能手机制造商并没有在他们的平板电脑系列中投入同样的努力,这就是为什么高通在这里与苹果相比没有看到多少成功。在不久的将来,看起来苹果将继续在平板电脑SoC市场份额中占据主导地位。

来源:cnBeta.COM

围观 70
评论 0
路径: /content/2021/100114801.html
链接: 视图
角色: editor
winniewei的头像
winniewei

据外媒报道,许多州因疫情而采取的限制措施正在放松,而在疫情期间,为了进入许多企业,我们都检查了体温。之所以进行体温检查,是因为已知COVID-19会导致体温升高,而且往往表明患者可能不知道自己感染了病毒。研究人员开发了一种新技术,它可以使用智能手机摄像头检测体温。

韩国科学技术院(KIST)光电子材料设备中心Won Jun Choi博士团队克服了价格和操作温度的限制并开发出了热成像传感器。该团队开发的传感器可以在不需要冷却装置的情况下在100摄氏度以下的温度下工作。

研究人员认为,这一突破将比目前市场上的标准传感器更便宜,并可能为其在智能手机和自动驾驶汽车上的应用铺平道路。为了跟智能手机和自动驾驶汽车的硬件相结合,传感器必须在85摄氏度和125摄氏度的高温下稳定运行。传统的热成像传感器需要一个冷却装置来在这些热条件下工作。

问题是,目前热传感器所需的冷却设备非常昂贵。尽管成本高昂,但冷却设备无法使传感器在高达85摄氏度的温度下运行。这些限制意味着热成像传感器不适合应用于智能手机或自动驾驶汽车。

这种新型传感器是使用在100摄氏度下稳定的二氧化钒薄膜开发的。该设备可以检测和转换红外光产生的热量为电信号、消除了需要冷却设备。传统上,冷却设备占热成像传感器成本的10%以上并会消耗掉大量的电力。

研究人员表示,他们的新传感器在100摄氏度时可以获得跟室温相同水平的红外信号。热信号的检测灵敏度也提高了三倍并将其转换为电信号。在100摄氏度的条件下,该传感器能以每秒100帧的速度捕捉热图像,响应时间约为3毫秒,这比传统传感器快3到4倍。

来源:cnBeta.COM

围观 50
评论 0
路径: /content/2021/100114799.html
链接: 视图
角色: editor
winniewei的头像
winniewei

2021727 - 英特尔今天公布了公司有史以来最详细的制程技术路线图之一,展示了从现在到2025年乃至更远的未来,驱动新产品开发的突破性技术。本资料介绍了实现此路线图的创新技术的关键细节,并解释了新的节点命名方法背后的依据。

未来之路

英特尔的路线图是基于无与伦比的制程技术创新底蕴制定而成。结合世界先进的研发流程,英特尔推出过诸多深刻影响了半导体生态的行业首创技术,如应变硅、高K金属栅极和3D FinFET晶体管等。

如今,英特尔延续这一传统,在全新的创新高度上制定路线图,其中不仅包括深层次的晶体管级增强,还将创新延伸至互连和标准单元级。英特尔已加快创新步伐,以加强每年制程工艺提升的节奏。

内在创新

以下是英特尔制程技术路线图、实现每个节点的创新技术以及新节点命名的详细信息:

Intel 7(此前称之为10纳米Enhanced SuperFin

通过FinFET晶体管优化,每瓦性能

[1]

比英特尔10纳米SuperFin提升约10% - 15%,优化方面包括更高应变性能、更低电阻的材料、新型高密度蚀刻技术、流线型结构,以及更高的金属堆栈实现布线优化。Intel 7将在这些产品中亮相:于2021年推出的面向客户端的Alder Lake,以及预计将于2022年第一季度投产的面向数据中心的Sapphire Rapids

Intel 4(此前称之为Intel 7纳米)

Intel 7相比,Intel 4的每瓦性能1提高了约20% ,它是首个完全采用EUV光刻技术的英特尔FinFET节点,EUV采用高度复杂的透镜和反射镜光学系统,将13.5纳米波长的光对焦,从而在硅片上刻印极微小的图样。相较于之前使用波长为193纳米的光源的技术,这是巨大的进步。Intel 4将于2022年下半年投产,2023年出货,产品包括面向客户端的Meteor Lake和面向数据中心的Granite Rapids

Intel 3

Intel 3将继续获益于FinFET,较之Intel 4Intel 3将在每瓦性能1上实现约18%的提升。这是一个比通常的标准全节点改进水平更高的晶体管性能提升。Intel 3实现了更高密度、更高性能的库;提高了内在驱动电流;通过减少通孔电阻,优化了互连金属堆栈;与Intel 4相比,Intel 3在更多工序中增加了EUV的使用。Intel 3将于2023年下半年开始生产相关产品。

Intel 20A

PowerViaRibbonFET这两项突破性技术开启了埃米时代。PowerVia是英特尔独有、业界首个背面电能传输网络,它消除晶圆正面的供电布线需求,优化信号布线,同时减少下垂和降低干扰。RibbonFET是英特尔研发的Gate All Around晶体管,是公司自2011年率先推出FinFET以来的首个全新晶体管架构,提供更快的晶体管开关速度,同时以更小的占用空间实现与多鳍结构相同的驱动电流。Intel 20A预计将在2024年推出。

命名含义

数十年来,制程工艺“节点”的名称与晶体管的栅极长度相对应。虽然业界多年前不再遵守这种命名法,但英特尔一直沿用这种历史模式,即使用反映尺寸单位(如纳米)的递减数字来为节点命名。

如今,整个行业使用着各不相同的制程节点命名和编号方案,这些多样的方案既不再指代任何具体的度量方法,也无法全面展现如何实现能效和性能的最佳平衡。

在披露制程工艺路线图时,英特尔引入了基于关键技术参数——包括性能、功耗和面积等的新命名体系。从上一个节点到下一个节点命名的数字递减,反映了对这些关键参数改进的整体评估。

[2]

“摩尔定律仍在持续生效。对于未来十年走向超越‘1纳米节点的创新,英特尔有着一条清晰的路径。我想说,在穷尽元素周期表之前,摩尔定律都不会失效,英特尔将持续利用硅的神奇力量不断推进创新。”

帕特基辛格,英特尔公司CEO

随着行业越来越接近“1纳米”节点,英特尔改变命名方式,以更好地反映全新的创新时代。具体而言,在Intel 3之后的下一个节点将被命名为Intel 20A,这一命名反映了向新时代的过渡,即工程师在原子水平上制造器件和材料的时代——半导体的埃米时代。

更新后的命名体系将创建一个清晰而有意义的框架,来帮助行业和客户对整个行业的制程节点演进有更准确的认知,进而做出更明智的决策。随着英特尔代工服务(IFS)的推出,让客户清晰了解情况比以往任何时候都显得更加重要。

注意事项与免责声明

所有产品和服务计划、路线图和性能数据都可能发生变化,恕不另行通知。

未来节点的性能以及功耗、密度等其他参数均为预测所得,本质上是不确定的,而其他行业节点则是根据公开信息得出或估算所得。

本说明书包含与英特尔未来计划和预期相关的前瞻性陈述,包括英特尔的制程技术路线图和时间表;创新节奏;未来技术和产品以及此类技术和产品的预期收益和可用性,包括 PowerViaRibbonFET技术、未来制程节点以及其他技术和产品;EUV 和其他制造工具的未来使用;对供应商、合作伙伴和客户的期望;英特尔的战略;制造计划;以及与英特尔代工业务相关的计划和目标。此类陈述涉及诸多风险和不确定因素。诸如“预期”、“期望”、“打算”、“目标”、“计划”、“相信”、“寻求”、“估计”、“继续”、“可能”、“将”、“将会”、应该”、“可能”、“战略”、“进展”、“路径”、“加速”、“路径”、“走上正轨”、“路线图”、“流水线”、“节奏”和“交付”以及此类词语和类似表达的变体均可用于识别前瞻性陈述。提及或基于估计、预测、推测和不确定事件或假设的陈述也可识别前瞻性陈述。此类陈述基于管理层当前的预期,涉及许多风险和不确定因素,可能导致实际结果与这些前瞻性陈述中明示或暗示的结果存在重大差异。可能导致实际结果与公司预期大相径庭的重要因素包括,英特尔未能实现其战略和计划的预期收益;由于商业、经济或其他因素而导致的计划变更;竞争对手采取的行动,包括竞争对手技术路线图的变化;影响我们对技术或竞争技术的预测的变化;我们未来制造技术的开发或实施发生延迟,或未能实现此类技术的预期收益,包括预期的性能改进和其他因素;未来产品设计或推出方面的延迟或变化;客户需求和技术趋势的变化;我们对技术发展做出快速反应的能力;涉及制造工具和其他供应商的延迟、计划变更或其他干扰;以及英特尔向美国证券交易委员会 (SEC) 提交或提供的报告中列出的其他因素,包括英特尔有关 10-K 表和 10-Q 表的最新报告,可在英特尔投资者关系网站www.intc.com SEC网站www.sec.gov上获得。英特尔不承诺并明确表示没有义务更新本说明书中的任何陈述,无论是出于新信息、新趋势还是其他原因,除非法律要求披露。

英特尔不控制或审核第三方数据。您应参考其他资料以评估其准确性。

产品性能因使用、配置和其他因素而异。欲了解更多信息,请访问www.Intel.com/PerformanceIndex

2021727 - 英特尔今天公布了公司有史以来最详细的制程技术路线图之一,展示了从现在到2025年乃至更远的未来,驱动新产品开发的突破性技术。推进前沿技术要依靠与生态伙伴的密切合作,包括先进晶圆厂设备的供应商,以及帮助将基础性创新从实验室研发投入量产制造的研究机构等。英特尔有幸与生态中的所有关键参与者都建立了长期而深入的合作关系。

 伙伴之声

 

“数十年来,用材料(Applied Materials)与英特建立了深厚的合作关系,将晶体管和互新付诸实践。随着英特在其最新的制程和封装路线图继续突破技的极限,我期待着与英特尔密合作,加速未来的半体制造。

Gary Dickerson用材料公司总裁兼CEO

“英特ASML共同走在极紫外光刻(EUV)技前沿。随着英特尔不断拓展其全球工厂网,我提供能为未来创新做出贡献的最先EUV。我们对下一代高数值孔径EUV倍感兴奋,它将使芯片技取得更大步。

Peter Wennink ASML公司 CEO

IBM和英特在尖端的半逻辑和封装方面有着悠久的新史。从人工智能到混合云再到下一代系统,两家知名公司的合作将继续的前沿进步。我很高能与英特在关研究方面行合作,开础性,支持整个子产未来数年的展。

Mukesh KhareIBM研究院混合云副

IMEC携手合作伙伴,快速推整个半体生展,共同应对越来越大的微缩挑,推动摩定律超越‘ 1纳米节点。在IMEC的合作伙伴中,英特尔是新的源头企业之一,在整个行中享有特殊地位。作微缩研究目的略伙伴,英特尔提供了独特而宝的知,推着整个生新。

Luc Van den hove IMEC裁兼CEO

从研发到量产,泛林集团(Lam Research和英特尔长期以来走在推和沉的前沿。随着英特尔以令人振的新的制程和封装新,将其路线图向未来推进,我的合作于将原子引入制造以造福整个行业而言变得更加关键。

Tim Archer泛林集团总裁兼CEO

CEA-Leti作为全球半导体技术研发的领导者,多年来,我与英特密合作来了一系列的新技术,推了行展。最近,我在先3D封装方面进行了合作,这使得两家半领军者携手并进,推chiplet、互以及新型键合和堆叠能力的展,以实现下一代的高性能用。”

Sebastien DauvéCEA-Leti CEO

“在数十年合作的基上,东电电子(TEL)与英特不断推进最先进的体制程设备和材料技。很高看到英特于新一代的制程和封装新,我期待着共同推体制造的前沿发展

Toshiki Kawai东电电子公司裁兼CEO

关于英特尔

英特尔(NASDAQ: INTC)作为行业引领者,创造改变世界的科技,推动全球进步并让生活丰富多彩。在摩尔定律的启迪下,我们不断致力于推进半导体设计与制造,帮助我们的客户应对最重大的挑战。通过将智能融入云、网络、边缘和各种计算设备,我们释放数据潜能,助力商业和社会变得更美好。如需了解英特尔创新的更多信息,请访问英特尔中国新闻中心newsroom.intel.cn 以及官方网站 intel.cn

[1]

基于内部估果可能会有化。

[2]

英特号不代表晶体管或构上任何实际的物理属性的尺寸。它也无法精确确定性能、功率或面的具体改水平,从上一个号到下一个号的减少幅度不一定与一个或多个参数的改水平成比例。去,英特新的点命名中的数字基于面密度的改在,点编号通常反映了对关参数改进的整体评估,并且可能基于性能、功耗、面或其他重要因素中的一个或多个参数的改,而不一定仅仅基于面/密度的改

围观 65
评论 0
路径: /content/2021/100114797.html
链接: 视图
角色: editor
winniewei的头像
winniewei

Qeexo AutoML自动化机器学习(ML)平台的开发者Qeexo公司和服务多重电子应用领域的全球半导体领导者意法半导体(STMicroelectronics,简称ST;纽约证券交易所代码:STM)宣布,意法半导体的机器学习核心(MLC)传感器已加入能够加快边缘设备tinyML微型机器学习模型开发的Qeexo AutoML平台。

意法半导体的MLC传感器本身就能大幅降低系统总体功耗,因为利用大量传感器数据开发的感知相关算法是运行在传感器上。Qeexo AutoML利用传感器数据为边缘设备自动生成高度优化的超低延时、超低功耗且内存占用率很小的机器学习解决方案。这些算法解决方案采用延长电池寿命的高效机器学习模型,克服了计算能力和存储容量因芯片尺寸而受到的限制。

Qeexo首席执行官Sang Won Lee表示:“Qeexo实现了最近我们与ST合作时所作的承诺,即在Qeexo AutoML上增加对ST机器学习核心传感器系列产品的支持。现在,我们与ST的合作让应用开发人员能够在ST的MLC传感器上快速创建和部署机器学习算法,而无需占用MCU时钟周期和系统资源,应用前景非常广阔,涵盖工业和物联网。”

意法半导体MEMS传感器部门总监Simone Ferri表示:“在Qeexo AutoML平台上增加ST的机器学习核心传感器,可以方便开发人员在低功耗应用中更快捷地导入嵌入式机器学习。我们在传感器(包括LSM6DSOX或ISM330DHCX)中引入MLC内核,可显著减少系统数据流量,减轻网络处理负担,将系统功耗降低几个量级,同时提供更强的事件检测、唤醒逻辑和实时边缘计算功能。”

关于Qeexo

Qeexo是一家为嵌入式边缘设备(Cortex M0-M4)提供机器学习自动化解决方案的公司,我们的一键式全自动Qeexo AutoML平台让客户能够利用传感器数据为限制很多的环境快速创建机器学习解决方案,适用于工业、物联网、可穿戴设备、汽车、移动设备等应用领域。全世界超过3亿台设备装备了基于Qeexo AutoML的人工智能。使用Qeexo AutoML创建的人工智能解决方案具有高性能、低延迟、低功耗、内存占用率低的特点。若了解详细信息,请访问http://www.qeexo.com

关于意法半导体

意法半导体拥有46,000名半导体技术的创造者和创新者,掌握半导体供应链和先进的制造设备。作为一家独立的半导体设备制造商,意法半导体与十万余客户、数千名合作伙伴一起研发产品和解决方案,共同构建生态系统,帮助他们更好地应对各种挑战和新机遇,满足世界对可持续发展的更高需求。意法半导体的技术让人们的出行更智能,电力和能源管理更高效,物联网和5G技术应用更广泛。详情请浏览意法半导体公司网站:www.st.com

围观 72
评论 0
路径: /content/2021/100114796.html
链接: 视图
角色: editor
winniewei的头像
winniewei

用于过压监测、欠压监测和模拟监测的单一IC

艾普凌科有限公司(ABLIC Inc.,总裁:Nobumasa Ishiai,总部:东京都港区,下称“ABLIC”)今日推出了S-191L/N系列,这是一款车载高耐压电池监测IC,具有行业首创的(*1)电源分压输出功能。


S-191L/N 系列具有行业首创的电源分压输出功能。该新型IC是一款创新产品,可将高压分为低压,以便可以直接输入到A/D转换器。

新产品系列还包括一个控制电源分压输出的电路,打开和关闭该电路可以降低待机电流,有助于降低故障率并提高功能安全性。

此外,S-191L/N系列通过提供低电压(通常为导致ECU不稳定或故障的原因)和过压(可损坏ECU)监测功能来确保更高的安全 性。

最后,将监测电压输入S-191L/N系列的SENSE引脚具有-30V至45V的超宽电压输入范围。

S-191L/N系列符合汽车IC的AEC(*)-Q100(*汽车电子委员会)标准,并符合生产件批准程序(PPAP)。

*1.根据我们截至2021年7月的研究

主要特点

  1. 行业首创的电源分压输出功能
  2. 多种监测功能,有助于实现功能安全
  3. 通过功能整合、减少外部零件和超紧凑封装三种方式减少占用面积
  4. 输入监测电压的SENSE引脚具有超宽输入范围(-30V至45V)
  5. 该系列产品已通过三温测试(低温、常温、高温),符合AEC-Q100标准——由汽车电子委员会(AEC)定义的可靠性和质量测 试。该系列产品还符合生产件批准程序(PPAP)。

同时推出的产品

产品名称

欠压监测

过压监测

电源电压

分配输出

封装

S-191L/N系列

O

O

O

HSNT-8(2030)

HTMSOP-8

S-19113系列

O

X

X

SOT-23-5
HSNT-8(2030)

HTMSOP-8

S-19115系列

X

O

X

SOT-23-5
HSNT-8(2030)

HTMSOP-8

S-19117/9系列

O

X

O

HSNT-8(2030)

HTMSOP-8

S-191E系列

O

O

X

HSNT-8(2030)

HTMSOP-8

O : 具备
X : 不具备

应用示例

  • 检测汽车电子设备上的过压情况
  • 汽车电池的电压检测
  • 用于发动机、变速器、悬架、ABS、EV/HEV/PHEV应用等汽车设备。

产品详情

https://www.ablic.com/cn/semicon/datasheets/automotive/automotive-voltage-detector-reset-ic/s-191l-191nxxxxa/

https://www.ablic.com/

围观 38
评论 0
路径: /content/2021/100114794.html
链接: 视图
角色: editor
winniewei的头像
winniewei

近日,展锐联合中兴通讯重磅发布了中兴通讯旗下面向行业的5G全网通工业模组ZM9010。ZM9010采用展锐5G基带芯片平台唐古拉V510,支持国内四大运营商5G/4G高速接入,使能行业客户数智未来。

ZM9010采用LGA封装模式,尺寸大小在41*44mm,支持PCIE2.0/USB3.0/SDIO3.0/UART/SPI/I2S/I2C/GPIO等丰富的接口,工作环境温度宽度达到-40℃-85℃,满足各类工业级要求。ZM9010内置esim+SE二合一芯片,支持国密算法SM1/SM2/SM3/SM4/SM9,可以形成一套完整的物联网安全方案,从而实现可信的身份认证、可靠的通讯加密、数据防篡改,为客户的业务发展保驾护航。
值得一提的是,ZM9010支持基于5G通信网络的高精度授时功能,空口授时精度小于1us,通道端到端时延小于15ms,对外可以直接输出B码,满足电力差动保护、配网态势感知控制业务等场景对授时精度、通道时延等指标的要求,进一步推动5G智能电网商用的加速。
ZM9010的高性能通讯支持能力,丰富的行业扩展接口,宽域的工作温度,高精度授时功能,安全加密算法等技术,可广泛应用于能源电力、智能制造、工业互联网、高清视频直播、无人机控制、智慧农业等各类行业领域,为各类行业和企业提供高质量的5G无线网络接入解决方案,使能行业客户数智未来。
展锐广域物联网副总裁樊陈表示:“展锐唐古拉V510是全球领先的可量产5G基带芯片平台,它支持5G网络切片等多项5G关键技术,可广泛适配全球移动通信运营商的网络、服务广大消费者用户和行业用户,能够充分满足5G发展阶段中的不同通信及组网需求。目前已有上百个基于展锐5G芯片的垂直行业应用案例,赋能智慧物流、智慧电力、智慧采矿、智慧交通、智能制造等千行百业。展锐将持续助力中兴通讯等合作伙伴进行5G创新,共同推动5G to B普及。”
中兴通讯移动互联产品副总经理凌惠波表示:“中兴通讯一直持续深耕移动互联领域,目前已形成个人和家庭数据终端、工业互联终端、车联网终端 三大产品解决方案,产品在全球超过100多个国家和地区,累计发货超过2亿台。此次推出基于展锐唐古拉V510平台的5G全网通模组ZM9010,采用工业级设计,具备丰富的外围接口,其高性能、低时延、高精度授时、加密算法、OTA升级等特性将为我们的行业伙伴提供完整的5G端到端的解决方案,赋能行业的数字化转型和加速5G行业应用落地。

来源:紫光展锐UNISOC

围观 33
评论 0
路径: /content/2021/100114792.html
链接: 视图
角色: editor
张国斌的头像
张国斌

2021 年 7 月 27日–今天,英特尔公司今天公布了公司有史以来最详细的制程工艺和封装技术路线图,展示了一系列底层技术创新,这些创新技术将不断驱动从现在到2025年乃至更远未来的新产品开发。除了公布其近十多年来首个全新晶体管架构 RibbonFET 和业界首个全新的背面电能传输网络PowerVia之外,英特尔还重点介绍了迅速采用下一代极紫外光刻(EUV)技术的计划,即高数值孔径(High-NA)EUV。英特尔有望率先获得业界第一台High-NA EUV光刻机。

英特尔公司CEO帕特·基辛格在以“英特尔加速创新”为主题的全球线上发布会中表示:“基于英特尔在先进封装领域毋庸置疑的领先性,我们正在加快制程工艺创新的路线图,以确保到 2025 年制程性能再度领先业界。英特尔正利用我们无可比拟的持续创新的动力,实现从晶体管到系统层面的全面技术进步。在穷尽元素周期表之前,我们将坚持不懈地追寻摩尔定律的脚步,并持续利用硅的神奇力量不断推进创新。”

业界早就意识到,从1997年开始,基于纳米的传统制程节点命名方法,不再与晶体管实际的栅极长度相对应。如今,英特尔为其制程节点引入了全新的命名体系,创建了一个清晰、一致的框架,帮助客户对整个行业的制程节点演进建立一个更准确的认知。随着英特尔代工服务(IFS)的推出,让客户清晰了解情况比以往任何时候都显得更加重要。基辛格说:“今天公布的创新技术不仅有助于英特尔规划产品路线图,同时对我们的代工服务客户也至关重要。业界对英特尔代工服务(IFS)有强烈的兴趣,今天我很高兴我们宣布了首次合作的两位重要客户。英特尔代工服务已扬帆起航!”

英特尔技术专家详述了以下路线图,其中包含新的节点命名和实现每个制程节点的创新技术:

1.基于 FinFET 晶体管优化,Intel 7与 Intel 10nm SuperFin 相比,每瓦性能将提升约10%-15%。2021年即将推出的Alder Lake客户端产品将会采用Intel 7 工艺,之后是面向数据中心的 Sapphire Rapids预计将于 2022 年第一季度投产。

2.Intel 4完全采用 EUV 光刻技术,可使用超短波长的光,刻印极微小的图样。凭借每瓦性能约 20% 的提升以及芯片面积的改进,Intel 4 将在 2022 年下半年投产,并于 2023 年出货,这些产品包括面向客户端的 Meteor Lake 和面向数据中心的 Granite Rapids。

3.Intel 3凭借FinFET 的进一步优化和在更多工序中增加对EUV使用,较之Intel 4将在每瓦性能上实现约18%的提升,在芯片面积上也会有额外改进。 Intel 3将于2023年下半年开始用于相关产品生产。

4.Intel 20A将凭借RibbonFET和PowerVia两大突破性技术开启埃米时代。RibbonFET 是英特尔对Gate All Around晶体管的实现,它将成为公司自 2011 年率先推出 FinFET 以来的首个全新晶体管架构。该技术加快了晶体管开关速度,同时实现与多鳍结构相同的驱动电流,但占用的空间更小。PowerVia 是英特尔独有的、业界首个背面电能传输网络,通过消除晶圆正面供电布线需求来优化信号传输。Intel 20A 预计将在 2024 年推出。英特尔也很高兴能在Intel 20A 制程工艺技术上,与高通公司进行合作。

5.2025 年及更远的未来:从Intel 20A更进一步的Intel 18A节点也已在研发中,将于2025年初推出,它将对RibbonFET进行改进,在晶体管性能上实现又一次重大飞跃。英特尔还致力于定义、构建和部署下一代High-NA EUV,有望率先获得业界第一台High-NA EUV光刻机。英特尔正与 ASML 密切合作,确保这一行业突破性技术取得成功,超越当前一代 EUV。

英特尔高级副总裁兼技术开发总经理Ann Kelleher博士表示:“英特尔有着悠久的制程工艺基础性创新的历史,这些创新均驱动了行业的飞跃。我们引领了从90纳米应变硅向45纳米高K金属栅极的过渡,并在22纳米时率先引入FinFET。凭借RibbonFET 和 PowerVia两大开创性技术,Intel 20A 将成为制程技术的另一个分水岭。”

英特尔高级副总裁兼技术开发总经理Ann Kelleher博士

随着英特尔全新IDM 2.0战略的实施,封装对于实现摩尔定律的益处变得更加重要。英特尔宣布,AWS 将成为首个使用英特尔代工服务(IFS)封装解决方案的客户。英特尔对领先行业的先进封装路线图提出:

1.EMIB作为首个 2.5D 嵌入式桥接解决方案将继续引领行业,英特尔自2017年以来一直在出货EMIB产品。Sapphire Rapids 将成为采用EMIB(嵌入式多芯片互连桥接)批量出货的首个英特尔®至强®数据中心产品。它也将是业界首个提供几乎与单片设计相同性能的,但整合了两个光罩尺寸的器件。继Sapphire Rapids之后,下一代 EMIB的凸点间距将从 55微米缩短至 45微米。

2.Foveros利用晶圆级封装能力,提供史上首个 3D 堆叠解决方案。Meteor Lake是在客户端产品中实现Foveros技术的第二代部署。该产品具有 36微米的凸点间距,不同晶片可基于多个制程节点,热设计功率范围为 5-125W。

3.Foveros Omni开创了下一代Foveros技术,通过高性能3D堆叠技术为裸片到裸片的互连和模块化设计提供了无限制的灵活性。Foveros Omni允许裸片分解,将基于不同晶圆制程节点的多个顶片与多个基片混合搭配,预计将于2023年用到量产的产品中。

4.Foveros Direct实现了向直接铜对铜键合的转变,它可以实现低电阻互连,并使得从晶圆制成到封装开始,两者之间的界限不再那么截然。Foveros Direct 实现了10微米以下的凸点间距,使3D堆叠的互连密度提高了一个数量级,为功能性裸片分区提出了新的概念,这在以前是无法实现的。Foveros Direct 是对 Foveros Omni 的补充,预计也将于 2023年用到量产的产品中。

今天讨论的突破性技术主要在英特尔俄勒冈州和亚利桑那州的工厂开发,这巩固了英特尔作为美国唯一一家同时拥有芯片研发和制造能力的领先企业的地位。此外,这些创新还得益于与美国和欧洲合作伙伴生态系统的紧密合作。深入的合作关系是将基础性创新从实验室研发投入到量产制造的关键,英特尔致力于与各地政府合作,强化供应链,并推动经济和国家安全。

线上发布会快结束的时候,英特尔宣布将举办“Intel Innovation”峰会并公布更多相关细节。“Intel Innovation”峰会将于 2021 年 10 月 27 日至 28 日在旧金山线下和线上举行。更多详细信息,请访问Intel ON 网站。

有关英特尔制程工艺路线图和节点命名的详细信息,请访问英特尔制程工艺解析。欲观看今天直播的回放,请访问英特尔新闻发布室或英特尔投资者关系网站。

围观 98
评论 0
路径: /content/2021/100114791.html
链接: 视图
角色: editor
笑点: 793
winniewei的头像
winniewei

核芯物联的CoreLocation定位系统使用Nordic nRF52833 SoC精确定位标签和信标

Nordic Semiconductor宣布总部位于深圳的物联网(IoT)解决方案企业深圳核芯物联科技有限公司(CoreAIoT)已选择Nordic nRF52833蓝牙5.2/低功耗蓝牙 (Bluetooth® 5.2/低功耗蓝牙 (Bluetooth® Low Energy (Bluetooth LE)) 通用多协议系统级芯片(SoC)为其“CL-GA25-P2 AoA定位基站”提供核心处理能力和无线连接。该公司声称这款定位基站面向广泛的市场和室内/室外环境,可以实现精准(0.1-1米范围内)的第三方设备定位。

通过使用nRF52833 SoC 的低功耗蓝牙连接,这款防水定位基站可以无线方式连接到第三方标签和信标,或者其他支持低功耗蓝牙的设备,例如可穿戴设备、资产标签、移动终端和智能锁。根据核芯物联介绍,通过使用到达角(AoA)技术来识别标签/信标信号的方向,单个定位基站就能够实现精确的二维定位,并且可最大限度地减少任何给定应用所需的定位基站数量,以减低部署成本和难度。

nRF52833  2.4GHz 多协议无线电具有全部蓝牙测向功能,不仅支持接收信号强度指示 (RSSI) ,还支持信号方向信息的定位应用。它具有充足的内存分配 (512kB 闪存和 128kB RAM) ,同时支持AoA和出发角 (AoD)应用的接收器和发射器角色。通过结合核芯物联的专有软件,用户可以导航查找任何标签或信标,定位精度达到亚米级。nRF52833 SoC 用于控制定位器的开关天线阵列、采样低功耗蓝牙数据包,并提取 AoA 软件计算所需的同相和正交相位信息 (“IQ” 信号信息)

这些数据依次从定位基站中继传输到云,或用户的蓝牙 4.0(及更高版本)智能手机或平板电脑上,通过使用公司的“CoreLocation定位助手”应用程序和微信小程序,监控无线标签、信标,或者定位基站发现的其他低功耗蓝牙设备的位置信息。

Nordic nRF52833 SoC 结合了一个带有浮点单元 (FPU)DSP 指令集的64MHz32  Arm® Cortex® M4 处理器与一个具有+8dBm最大TX功率和-95dBm RX灵敏度的多协议无线电 (支持蓝牙 5.2、蓝牙mesh、蓝牙测向、2Mbps吞吐量和Long Range plus ThreadZigbeeIEEE 802.15.4 和专有2.4GHz RF协议软件。这款SoC配备了Nordic 的蓝牙RF协议栈 S113S112  S140 SoftDevice

核芯物联首席技术官周菁表示:“我们之所以选择使用NordicnRF52833 SoC,在于它具备无线电能力并支持IQ 采样。此外,Nordic提供的快速响应对于高效的测试和开发工作很有帮助。

关于深圳核芯物联科技有限公司

http://www.coreaiot.com/

关于Nordic Semiconductor

www.nordicsemi.com/About-us

围观 45
评论 0
路径: /content/2021/100114788.html
链接: 视图
角色: editor
winniewei的头像
winniewei

Mindtech Global是用于训练人工智能视觉系统的全球一流端到端合成数据创建平台的开发者。公司宣布完成一轮325万美元的融资

此轮融资由NPIF - Mercia Equity Finance领投,该基金由Mercia管理,是英国北部振兴投资基金(Northern Powerhouse Investment Fund,简称NPIF)*的旗下机构,Deeptech LabsIn-Q-Tel也参加了此轮融资。这笔投资将使公司能够加速其位于英国谢菲尔德的新工程基地的产品开发,并为其在欧洲、美国和亚洲日益增长的客户互动项目提供支持。

Mindtech的Chameleon平台提供具有革命性的技术,从而释放出巨大的机器学习/人工智能机会,特别是帮助计算机理解和预测人类的互动。目前训练人工智能视觉系统的方法需要获取大量符合隐私和无偏差要求的真实世界图像并对其进行注释——这是一项成本高昂而且耗时的工作。

Chameleon平台通过普及数据训练,帮助实现人工智能视觉系统的创新和更广泛部署。使用该平台,客户可以使用逼真的智能3D模型快速构建无限情境和场景

这些都通过模拟和“拍摄”来实现,可以创建完全注释的合成图像,用来训练人工智能视觉系统,并解决多样性和偏差问题。数据集符合保护隐私的要求,并提供完整的数据来源。

Mindtech的全球客户致力于零售、智能家居、医疗保健、交通系统和机器人等领域的人工智能应用。与仅依赖真实世界的数据相比,Chameleon让客户能够以飞快的速度将更准确的AI视觉系统推入市场。

Mercia的Will Clark表示:“通过与英国北部振兴投资基金合作,Mercia很高兴能够投资并支持Mindtech团队的转型愿景,通过其谢菲尔德总部的业务增长,进行进一步的平台开发,这是在他们大量的客户互动活动中所明确的需求。”

Deeptech Labs首席执行官Miles Kirby表示:“作为一股推动深科技(deeptech)成功的催化力量,我们的投资和加速器项目支持雄心勃勃的团队打造创新的解决方案,并支持他们打造能改变世界的公司。Mindtech经验丰富的团队正在践行他们的宗旨即颠覆人工智能系统的训练方式。我们很高兴能在他们的奋斗历程中提供支持。”

In-Q-Tel的董事总经理(伦敦)Nat Puffer表示:“Mindtech的Chameleon平台的成熟度和他们对全球客户的商业吸引力给我们留下了深刻的印象。该平台能适用于不同市场的众多应用,并且能消除在开发更智能、更直观人工智能系统中面临一大重要障碍,对此我们倍感兴奋。”

Mindtech首席执行官Steve Harris表示:“机器学习团队可能将多达80%的时间都用于获取、清理和组织训练数据。我们的Chameleon平台能解决人工智能训练方面所面临的挑战,从而让整个行业更专注于人工智能网络创新等更高价值的任务。这一轮融资将使我们加快增长步伐,助力打造新一代能更好地理解人类之间的互动以及与周围世界互动的人工智能解决方案。”

*英国北部振兴投资基金项目由欧盟提供资金支持,其资金来自欧洲区域发展基金(ERDF)(作为2014-2020年欧洲结构和投资基金增长计划的一部分)以及欧洲投资银行。

编者按

Mindtech Global www.mindtech.global

Mindtech Global是用于训练人工智能视觉系统的全球一流端到端“合成”数据创建平台的开发者。公司的Chameleon平台是人工智能视觉系统训练方式的一次飞跃,能帮助计算机理解和预测在零售、智能家居、医疗和智慧城市等应用中的人类互动。

Mindtech总部位于英国,业务遍及美国和远东地区,由MerciaDeeptech LabsIn-Q-Tel等投资者提供资金支持。

可应要求提供采访、媒体图片和演示。

欲获取完整的编者备注,请访问https://www.mindtech.global/company/news/press-releases/July21_MindtechInvestment

围观 42
评论 0
路径: /content/2021/100114787.html
链接: 视图
角色: editor