新思出品:2021年高性能计算和云计算趋势预测

近几年,高性能计算(HPC)和云计算一直备受关注,其原因在于这些技术是推动人工智能(AI)、面部识别、自动驾驶、3D打印等热门创新的动力所在。

2020年,云计算的重要性愈发凸显。由于COVID-19疫情的爆发,远程工作和线上学习成为趋势,人们对视频会议和远程数据访问的需求攀升。网络电视、视频游戏等家庭娱乐活动对带宽的需求也大幅增加。

现如今,越来越多的半导体公司开始设计高性能计算芯片,为先进的数据中心提供动力,而这些半导体公司本身也在使用HPC硬件来进行设计,这就要求EDA应用能够充分利用高性能计算在云端的可扩展性和弹性。

随着世界逐渐进入新常态,部署高性能计算和云计算领域的企业也要逐渐适应不断变化的新需求。为此,新思科技(Synopsys)的顶级技术专家通过本文分享他们对2021年HPC和云计算领域的发展预测,以及新思科技能够提供的支持。

COVID-19对HPC的影响

相信没有人能够预测2020年COVID-19疫情的全球性大爆发,以下是我们针对疫情将如何继续影响HPC行业所进行的预测。

“在后疫情时代,选择远程办公和线上学习的人数仍在增加。实时交互能力对于高效进行远程学习和办公十分重要,因此解决网络延迟的问题迫在眉睫。目前针对这一问题已有很多进展,比如在计算设备中整合强大的计算能力以提高性能。但其他技术,如引入400千兆以太网,也能够快速移动数据。”——Scott Durrant  解决方案事业部战略营销经理  新思科技

“HPC和云计算市场的增长有目共睹。人们逐渐习惯在家办公,因此对云和数据中心的需求大幅提高,大型企业正在努力解决这一问题。我们看到的一个趋势是,系统性能和通过系统获取数据的能力将变得越来越重要,这将大幅提升服务器芯片的性能,提高时钟速度,并快速推进工艺技术向更小面积发展,而这些都将增加设计的复杂度。我们还观察到许多新技术的快速发展,如PCIe和CXL等最新一代的芯片到芯片互连技术,可以借助更高的速度和缓存一致性来提高系统整体的吞吐量。”——Scott Knowlton  解决方案事业部战略与解决方案总监  新思科技

“在过去的五到十年里,HPC市场一直保持着快速增长趋势,人工智能的加入更是提供了极大的推动力,而疫情的爆发也进一步加速了整个HPC领域的发展。在医学领域,疫苗的研发离不开高性能计算和人工智能等系统。全球的科学家都在通过对大量数据的建模、模拟、机器学习和分析来加速疫苗的研发,而运行复杂的数学模型并将其转化为模拟则需要 HPC的海量计算能力。通过将人工智能与机器学习技术相结合,我们可以更精确的进行数据模拟,从而更快的研发出疫苗。”——Susheel Tadikonda  验证事业部工程副总裁  新思科技

“全球性疫情的爆发,使得在家办公所需的基础设施不断增加。对公司而言,他们更希望把握住当下激增的要求更好的网络基础设施这一机会,而不是等待3nm技术节点的成熟从而节约更多成本。一旦我们战胜了COVID-19,企业将会增加更多的长期投资来加速先进工艺节点的发展。”——Ruben Molina  数字设计事业部产品营销总监  新思科技

高性能计算和云的新应用

提到HPC,很多人可能会联想到超级计算机在做一些惊人的事情,比如预测天气和绘制人类基因组图。新思科技研究团队预计,在未来的几年,HPC和云将被用于多种不同类型的应用中,无论大小。

“全球COVID-19联盟聚集了许多专家一起做医学研究,致力于寻找治疗、预防、以及治愈疫情的方法。而HPC和云可以帮助研究人员更好地合作,了解疾病,并最终治疗疾病。这项技术将通过更强大的计算机制,模拟药物在各种环境和条件下对人体的影响,从而减少医学研究领域对人体和动物进行的试验数量。”——Scott Durrant  解决方案事业部战略营销经理  新思科技

“我们将看到更多的计算能力被推到实际数据被接收的地方,有时也被称为‘边缘’。比如,在自动驾驶过程中,汽车必须接收大量数据,并非常迅速地做出决策,由于时间关系,大量的信息需要在边缘进行处理而不是等待信息被发送到计算服务器再处理。同样地,在制造工地等地方,数据也将不再被发送到中央计算机以监控流水线上的机器状况和可靠性,而是在边缘进行处理。这种边缘数据处理可以很大程度减少延迟,我们因此能够更早地检测到机器的潜在故障,防止停机。对于制造商而言,尤其是那些在几分钟内要制造数千个零件的制造商,这一改变意义重大”——Ruben Molina  数字设计事业部产品营销总监  新思科技

2021年HPC工程师将面临的最大设计挑战

对于工程师来说,随着上述新兴应用的出现以及在家办公人员不断增加的对带宽的需求,HPC芯片设计的挑战也随之增加。以下我们将阐述工程师们以后将面临的主要设计挑战。

“目前,很多数据都储存在集中式计算场中,由于数据的存储并不分散,黑客很容易就能知道数据的位置并进行攻击,因此安全对于硬件和软件来说是个大问题。这种情况很可能会持续到2021年。这就是为什么新思科技与DARPA等机构合作,以确保硬件设计的安全性。这些硬件最终将被更多的用在以消费者为中心的且对安全有很大需求的行业,如银行。

芯片规模越来越大,对性能的要求也会越高。然而有几个要素可能会限制这一需求。首先,一块芯片上究竟能容纳多少逻辑?第二,我们如何设计如此巨大的规模?为了克服单颗芯片的设计规模,设计人员正在研究3DIC,它可以将设计分解为多个集成芯片设计。这意味着从一开始,设计人员就需要使用新思科技的3DIC Compiler 等工具进行更多的早期版图规划和基于封装的信号完整性分析。在处理不断增长的单晶片设计规模方面,设计人员需要用像Fusion Compiler这样的工具,使他们能够在不断增加的计算内核上进行操作,并且这些工具能够在云环境中使用。在云环境中,你可以访问成千上万的计算资源。如果你的工具没有被设置为能够在所有这些计算资源中运行,那么从整体性能和上市时间的角度来看,它们对开发者的价值将受到限制。”——Ruben Molina  数字设计事业部产品营销总监  新思科技

“芯片几何尺寸的不断缩小带来了很多机遇和挑战。而要做到缩小芯片尺寸需要耗费大量成本。如何平衡经济效益和实施新架构,对开发者来说将是一个持续的挑战。”——Scott Durrant  解决方案事业部战略营销经理  新思科技

“为解决人工智能应用(如图像识别)中大量数据传输的问题,Computer Express Link(CXL)等技术被提出。过去,我们不得不从内存中传输所有数据,未来,我们将更多地使用缓存一致性技术,把大部分数据留在原来的位置运算,只传输绝对必要的数据。这样一方面可以增加连接的带宽,另一方面也可以减少通过同一通道的流量,从而提高整体性能。”——Scott Knowlton  解决方案事业部战略与解决方案总监  新思科技

“HPC芯片变得越来越复杂,尺寸超过了10BG,并采用多裸晶片和Chiplet架构。Chiplet使得设计人员可以混合和匹配不同版本/世代的IP,但这也给集成带来了挑战。IP验证已经不仅仅是模块级的工作,而是在系统背景下验证IP。当我们在这些Chiplet/多裸晶片平台上推出软件时,系统级硬件和软件验证将变得更加重要。在这些平台上的的微控制器和固件数量十分庞大,因此协同工作极具挑战。混合引擎解决方案是解决这个问题的一个方法,但当设计人员需要跨越多个抽象层次(即操作系统、驱动程序、固件、接口、总线等)分析和理解系统和工作负载行为时,这种方案将导致系统级调试和性能挑战。另一个重要的领域是早期的分析功耗和估算;对于大型设计来说,任何轻微的功耗节省都是有巨大意义的。新思科技正与芯片制造商一起合作,以解决这些验证挑战。”——Susheel Tadikonda  验证事业部工程副总裁  新思科技

来源:新思科技

最新文章